Verilog系统函数$readmemb和$readmemh

发布时间:2022-09-10 19:30

一、$readmemb和$readmemh
《Verilog数字系统设计教程》夏宇闻著p448
语法:
$readmemb(“File”,MemoryName[, StartAddr[, FinishAddr]]);
$readmemh(“File”,MemoryName[, StartAddr[, FinishAddr]]);
起始地址可以省略。
$readmemb(“File”,MemoryName);
$readmemh(“File”,MemoryName);
作用:把文本文件中的数据赋值到存储器中。
$readmemb要求文本中的每个数字是二进制值,而$readmemh要求文本中的每个数字是十六进制值。
读取的内容只包括:空白位置(空格、换行、制表格(tab和form-feeds),注释行、二进制或十六进制的数字。数字中不能包含位宽说明和格式说明。数字中不定值x或X,高阻值z或Z,和下划线(_)的使用方法和代表意义与一般Verilog HDL程序中的用法一致。
二、$sreadmemb和$sreadmemh
《Verilog数字系统设计教程》夏宇闻著p452
语法:
$sreadmemb(Memory, StartAddr, FinishAddr, String, …);
$sreadmemh(Memory, StartAddr, FinishAddr, String, …);
这两个任务与$readmemb和$readmemh类似,只是存储器中的初始数据不是由文件输入,而是由一个或多个字符串输入。字符串格式与$readmemb和$readmemh系统任务所要求的相应文件格式一致。

initial
begin
$readmemh(“data.txt”,memory,0);
end

data.txt中的数据格式取决于memory的宽度。如果sram的宽度为64bit,则为16个十六进制的数字。

ItVuer - 免责声明 - 关于我们 - 联系我们

本网站信息来源于互联网,如有侵权请联系:561261067@qq.com

桂ICP备16001015号