【Verilog语法1】加载存储器$readmemh和$readmemb函数的使用

发布时间:2022-08-19 12:35

$readmemh和 $readmemb函数的使用

Verilog 提供了很多可以对文件进行操作的系统任务。
文件开、闭:$fopen, $fclose, $ferror

文件写入:$fdisplay, $fwrite, $fstrobe, $fmonitor

字符串写入:$sformat, $swrite

文件读取:$fgetc, $fgets, $fscanf, $fread

文件定位:$fseek, $ftell, $feof, $frewind

存储器加载:$readmemh, $readmemb

本节讲述$readmemh和 $readmemb函数的使用

系统任务 调用格式及说明
加载十六进制文件 $readmemh(“fname”, mem, start_addr, finish_addr)
加载二进制文件 $readmemb(“fname”, mem, start_addr, finish_addr)

fname 为数据文件名字
mem 为数组型/存储器型变量
start_addr、finish_addr 分别为起始地址和终止地址
start_addr、finish_addr 可以省略,此时加载数据的停止条件为存储器变量 mem 被填充完毕,或文件读取完毕
文件内容只应该有空白符(或换行、空格符)、二进制或十六进制数据
注释用"//"进行标注,数据间建议用换行符区分

举例代码如下:

   //6 load mem
   reg [31:0]   mem_load [3:0] ;
   initial begin
      #50 ;
      $readmemh("./DATA_WITHNOTE.HEX", mem_load);
      $display("Read memory1: %h", mem_load[0]) ;
      $display("Read memory2: %h", mem_load[1]) ;
      $display("Read memory3: %h", mem_load[2]) ;
      $display("Read memory4: %h", mem_load[3]) ;
   end

其中文件的内容如下:
【Verilog语法1】加载存储器$readmemh和$readmemb函数的使用_第1张图片

运行结果如下:
【Verilog语法1】加载存储器$readmemh和$readmemb函数的使用_第2张图片
本文参考链接:
https://www.runoob.com/w3cnote/verilog2-file.html

ItVuer - 免责声明 - 关于我们 - 联系我们

本网站信息来源于互联网,如有侵权请联系:561261067@qq.com

桂ICP备16001015号